Monday, May 9, 2011

updated synthesizeable VHDL block - progress

Here is updated VHDL code for the IQ Gain and Phase Correction filter.

I'm working with an adjusted numbering scheme in signed arithmetic to correct an
overflow problem (thanks to KB5MU, who helped identify). 

This implementation, which is designed to be synthesizeable, is beginning to
function as intended. There is a factor of two error, but the compiled block
outputs I and Q.


With some data visualization, these might prove to be a passed-through I and
phase corrected Q at the output, with a massive gain overcorrection. I'll check
that tomorrow!

 -Michelle W5NYV

No comments: