A fresh install of Windows on the development machine has been completed.
The development environment (ISE Webpack 12.3) has been installed.
Next up: update the ISE to 12.4 and figure out how to share the station.
-Michelle W5NYV
A system for amateur radio.
Next up: update the ISE to 12.4 and figure out how to share the station.
-Michelle W5NYV
http://www.xilinx.com/products/virtex5qv/index.htm I've been keeping a lookout for components potentially useful for a digital satellite payload. What do you all think of this one? |
...
vld1.32 {d0,d1,d2,d3}, [%1]!
vld1.32 {d4,d5,d6,d7}, [%2]!
vmla.f32 q8, q0, q2
vmla.f32 q9, q1, q3
bgt 1b
vadd.f32 q8, q8, q9
...
...
vld1.32 {d0,d1,d2,d3}, [%1]!
vld1.32 {d4,d5,d6,d7}, [%2]!
vmla.f32 q8, q0, q2
vmla.f32 q8, q1, q3
bgt 1b
# no vadd.f32 required
...
I made a quick summary of the website statistics for May, and am reading the documentation at Open Cores to see how to start a project.
-Michelle W5NYV
--declare signals here
--clock
signal Tic_Toc : STD_LOGIC := '0';
--set signals
signal Set0 : STD_LOGIC := '0';
signal Set1 : STD_LOGIC := '0';
signal Set2 : STD_LOGIC := '0';
signal Set3 : STD_LOGIC := '0';
signal Set4 : STD_LOGIC := '0';
signal Set5 : STD_LOGIC := '0';
signal Set6 : STD_LOGIC := '0';
signal Set7 : STD_LOGIC := '1';
--reset signals
signal Reset0 : STD_LOGIC := '0';
signal Reset1 : STD_LOGIC := '0';
signal Reset2 : STD_LOGIC := '0';
signal Reset3 : STD_LOGIC := '0';
signal Reset4 : STD_LOGIC := '0';
signal Reset5 : STD_LOGIC := '0';
signal Reset6 : STD_LOGIC := '0';
signal Reset7 : STD_LOGIC := '0';
--results signals
signal R7 : BIT := '0';
signal R6 : BIT := '0';
signal R5 : BIT := '0';
signal R4 : BIT := '0';
signal R3 : BIT := '0';
signal R2 : BIT := '0';
signal R1 : BIT := '0';
signal R0 : BIT := '0';
--feedback signals
signal F3 : BIT := '0';
signal F2 : BIT := '0';
signal F1 : BIT := '0';
signal F0 : BIT := '0';
end PRNG;
architecture Behavioral of PRNG is
--clock source
component clock
generic (PULSE_WIDTH : TIME);
port (clock: out STD_LOGIC);
end component;
--d flip flop component
component d_flip_flop
port (data_in: in BIT;
clock: in STD_LOGIC;
data_out: out BIT;
set: in STD_LOGIC;
reset: in STD_LOGIC);
end component;
--xor gate component
component exor
port ( xor_data_in_a : in BIT;
xor_data_in_b : in BIT;
xor_data_out : out BIT);
end component;
begin
Set7 <= '0' after 10 ns;
Synch: clock
generic map (PULSE_WIDTH => 5 ns)
port map (Tic_Toc);
Do_xor_01: exor
port map (F0, R2, F1);
Do_xor_02: exor
port map (F1, R3, F2);
Do_xor_03: exor
port map (F2, R7, F3);
Drive_Flip_Flop_0: d_flip_flop
port map (R1, Tic_Toc, R0, Set0, Reset0);
Drive_Flip_Flop_1: d_flip_flop
port map (R2, Tic_Toc, R1, Set1, Reset1);
Drive_Flip_Flop_2: d_flip_flop
port map (R3, Tic_Toc, R2, Set2, Reset2);
Drive_Flip_Flop_3: d_flip_flop
port map (R4, Tic_Toc, R3, Set3, Reset3);
Drive_Flip_Flop_4: d_flip_flop
port map (R5, Tic_Toc, R4, Set4, Reset4);
Drive_Flip_Flop_5: d_flip_flop
port map (R6, Tic_Toc, R5, Set5, Reset5);
Drive_Flip_Flop_6: d_flip_flop
port map (R7, Tic_Toc, R6, Set6, Reset6);
Drive_Flip_Flop_7: d_flip_flop
port map (F3, Tic_Toc, R7, Set7, Reset7);
end Behavioral;
So, it seems to work. The flip flop is hooked up in a way that the inverted output is fed back in to its own input. This creates a divide by two counter, and that is indeed what happens in the simulator.
What are the flip flops for? They are the building blocks of the bit error rate tester, which will be implemented in an FPGA and will be used to measure the performance of the receiver.
While this isn't bad for a beginner, and while the layout of a bit error rate tester is straightforward, the strategy for the actual receiver is not as clear-cut. I have a growing competence with what a Costas Loop does, and it's starting to make some sense, but the identification of individual modules is going to probably proceed pretty much like this effort, with the individual components being built up from very simple starting points.
Next steps:
1) Work on the website to better archive/present/share/explain the VHDL
2) Put the flip flops together to make a pseudorandom number generator and test it.
Here's the code:
----------------------------------------------------------------------------------
-- Company: Optimized Tomfoolery
-- Engineer: Michelle Thompson
--
-- Create Date: 10:53:22 05/28/2010
-- Design Name: BERT
-- Module Name: test_flip_flop - Behavioral
-- Project Name: MEP
-- Target Devices:
-- Tool versions:
-- Description: hook up flip flop and clock and see if it works
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity test_flip_flop is
--declare signals here
signal Tic_Toc : STD_LOGIC := '0';
signal Output_Data : BIT := '0';
signal Output_Data_Inverted : BIT := '0';
signal Set : STD_LOGIC := '0';
signal Reset : STD_LOGIC := '0';
end test_flip_flop;
architecture Behavioral of test_flip_flop is
component clock
generic (PULSE_WIDTH : TIME);
port (clock: out STD_LOGIC);
end component;
component d_flip_flop
port (data_in: in BIT;
clock: in STD_LOGIC;
data_out: out BIT;
set: in STD_LOGIC;
reset: in STD_LOGIC);
end component;
component inverter
port (inverter_data_in: in BIT;
inverter_data_out: out BIT);
end component;
begin
Synch: clock
generic map (PULSE_WIDTH => 50 ns)
port map (Tic_Toc);
Invert: inverter
port map (Output_Data, Output_Data_Inverted);
Drive_Flip_Flop: d_flip_flop
port map (Output_Data_Inverted, Tic_Toc, Output_Data, Set, Reset);
end Behavioral;
----------------------------------------------------------------------------------
The clock period is set with a local variable called PULSE_WIDTH.
Again, very simple and basic, but making progress.
We've been studying a book by U. Meyer-Baese called "Digital Signal Processing with Field Programmable Gate Arrays". There's a section on Costas Loops that reiterates what Bob McGwier said about phase and gain sensitivity. So, we're on the right track!
We'll most likely start storing these files on the website. Another place they will live, as a project, will be at the Open Cores website, where lots of open source HDL projects are hosted.
----------------------------------------------------------------------------------
-- Company: Optimized Tomfoolery
-- Engineer: Michelle Thompson
--
-- Create Date: 09:40:09 05/27/2010
-- Design Name: BERT
-- Module Name: clock - Behavioral
-- Project Name: MEP
-- Target Devices:
-- Tool versions:
-- Description: Clock that drives d flip flop
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity clock is
generic (PULSE_WIDTH : TIME := 20ns);
Port ( clock : out STD_LOGIC);
end clock;
architecture Behavioral of clock is
begin
Gen_Clock:
process
constant PERIOD: TIME := 2*PULSE_WIDTH;
begin
clock <= '1' after PULSE_WIDTH,
'0' after PERIOD;
wait for PERIOD;
end process Gen_Clock;
end Behavioral;
----------------------------------------------------------------------------------
more soon,
-Michelle W5NYV
Potestatem obscuri lateris nescis.
It's a small step, but it's a building block for a pseudorandom number generator that will be used in the bit error rate tester. This tester (BERT for short) will be used as a tool to test the MEP receiver.
It's such a short bit of code so far that I'm going to include it in this email in its entirety! :+)
Here it is:
----------------------------------------------------------------------------------
-- Company: Optimized Tomfoolery
-- Engineer: Michelle Thompson
--
-- Create Date: 08:32:51 05/26/2010
-- Design Name: Bit Error Rate Tester
-- Module Name: d_flip_flop - Behavioral
-- Project Name: MEP
-- Target Devices:
-- Tool versions:
-- Description: A bit error rate tester for MEP receiver
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity d_flip_flop is
Port ( data_in : in STD_LOGIC;
clock : in STD_LOGIC;
data_out : out STD_LOGIC;
set : in STD_LOGIC;
reset : in STD_LOGIC);
end d_flip_flop;
architecture Behavioral of d_flip_flop is
begin
process (data_in, clock, set, reset)
begin
-- asynchronous reset output to 0
if (reset = '1')
then data_out <= '0';
-- asynchronous set output to 1
elsif (set = '1')
then data_out <= '1';
--clock rising edge
elsif(clock='1' and clock'event)
then data_out <= data_in;
end if;
end process;
end Behavioral;
----------------------------------------------------------------------------------
Next step is to document in a way that makes it easier to participate. Then, add code that makes the inputs wiggle so that the outputs wiggle. Then, test to make sure it behaves exactly as a D flip flop should. This will be the first component in the MEP VHDL library of parts that will make up the tools and pieces of the system.
More soon!
-Michelle W5NYV
Potestatem obscuri lateris nescis.
End of school year here at my household, so have been a busy bee for the past few weeks.
There is a "virtual conference" coming up for FPGAs. Check out http://www.eetimes.com/FPGA/ for more details.
-Michelle W5NYV
----- Original Message ----
From: Paul Williamson <paul.kb5mu@gmail.com>
To: Bob McGwier <rwmcgwier@gmail.com>
Cc: "mep-dev@uppermeadow.com" <mep-dev@uppermeadow.com>
Sent: Thu, April 15, 2010 9:15:07 PM
Subject: Re: [Mep-dev] FPGA 1.1 drawing and questions
This sounds really useful! Can you provide more details, or a reference to a paper, or the source code for an implementation, or something we can refer to?
73. -Paul KB5MU
On Apr 2, 2010, at 7:16 AM, Bob McGwier <rwmcgwier@gmail.com> wrote:
> In the FPGA prior to the costa's loop you will need a nonlinear
> equalizer and DC elimination. The QSD, done in this case with some
> fairly high speed switches and baseband filtering most likely, will
> have both amplitude and phase imbalance in the I/Q legs and further, it
> is frequency (rate of switching the sampling "capacitors") dependent.
>
> I have devised an algorithm which really makes the difference in the
> performance of these devices (out of necessity). Especially for digital
> signals, this is imperative.
>
> Suppose Z is the incoming signal AFTER the QSD. Z* (complex conjugate)
> is taken, and a filter is applied to Z*, and the applied to a delayed
> copy of Z. This sufficiently eliminates the image rejection imbalance
> to make it an unimportant part of the communications system.
>
> An ASSUMPTION of the algorithm is that there is NO DC component, so it
> must be eliminated before F(Z*)(n) is applied to Z(-n) and the
> reestimation of F is done.
_______________________________________________
Mep-dev mailing list
Mep-dev@uppermeadow.com
http://uppermeadow.com/mailman/listinfo/mep-dev
http://www.delmarnorth.com/microwave/software/simd-sse.h.discussion.draft.pdf
The plan here is to understand what the current set of simd code is doing, and then write code for neon to present for review. Several simd processors are already supported by FFTW, so learning how they work will help in creating code for NEON.
If you would like to participate, grab the latest version of FFTW source code (we're working with 3.2.2), go to the simd directory, and walk through the code. If you are already a black belt in FFTW simd code, then by all means speak up - we'd love to accelerate the process! We'll keep working on simd-sse.h until we understand it, then will move on to another header file. When all the header files are understood, we'll write down what we think a neon header file must accomplish.
-Michelle W5NYV
I'm a fan of the movie, myself.
http://www.delmarnorth.com/microwave/requirements/neon-test-tutorial.pdf
-Michelle W5NYV
Potestatem obscuri lateris nescis.
Datasheet here:
http://www.skyworksinc.com/uploads/documents/200473B.pdf
I need a recommendation for an A/D converter to feed our I and Q signals to. The FPGA would pick things up from there.
-Michelle W5NYV
Potestatem obscuri lateris nescis.
Here are some statistics for March
Average daily number of RSS feed subscribers: 31
Number of subscribers on the mailing list: 37
Unique visitors in March: 744
6.54GB were downloaded from the site for December. This is well within our bandwidth allocation. We are well within our disk space quota as well. No service disruptions were reported for March.
The most popular items were the Xilinx manuals, the SBMS Newsletter from March 2010, The High-Speed Multimedia notes from February, and the Xilinx Integrated Software Environment.
Many visitors to the site were from the USA. However, Hong Kong was the most frequent visitor, and India and Australia ranked high as well.
Please let me know what would make the site, feed, and list more useful and helpful and I'll do all that I can to achieve it. Since so many of us are spread out so far, a useful site and tool set is important in order to communicate effectively.
One of the comments was to go ahead and connect the FGPA to a processor, like the TI OMAP in the Beagleboard, directly. I'm assuming that it's connected directly in a large parallel interface to memory. There's a lot of available pins on the TI OMAP.
Another FPGA output could be IPv6 and IPv4 packets.
-Michelle W5NYV
Here's my plan for the week.
1. (Begin to) implement a Costas Loop in VHDL. Anyone out there already done this?
2. Set up the website for sharing VHDL source code. I noticed several VHDL projects on sourceforge. Perhaps when we have some code to share, starting a sourceforge project area would be a good idea.
3. Fix the twitter badge belonging to one of the three people that have a twitter badge on the MEP homepage. If you use twitter, and would like to be on the home page, just let me know and I'll put you there.
4. Decide whether or not to order an evaluation board for an IQ demodulator. I'm looking at something like a Skyworks evaluation board. They come with the demodulator soldered down, but you place the other parts to get the right frequency range. There's a parts list for 3200-3900MHz, which just happens to include one of our intended bands.
Here's the rather unrevealing product webpage:
http://www.cdistore.com/skyworks/PortalProductDetail.aspx?ProdId=189271
My questions are "Are there any other cheaper alternatives to this evaluation board?" and "Does anyone have anything like this lying around that we could borrow?" Let us know. I might be able to get a lot of use out of this evaluation board in the local microwave scene as a loaner or building block, but $199 is expensive enough to justify talking about it and looking around some more.
If you have the time and inclination to help confirm or contradict any of these ideas, please do! It would be greatly appreciated.
More soon,
-Michelle W5NYV
-=-=-=-=-=-=-=-=-=-
The free version of the older Integrated Software Environment (version 10.1 of the ISE) for Xilinx FPGA development did not support the larger Virtex II FPGA in the XtremeDSP Development kit. I downloaded the current free version of the ISE, and as expected, it didn't support families of FPGAs earlier than the Virtex IV.
I updated it from (free) version 11.1 to (free) version 11.5, which added the very latest Spartan 6 family to the ISE. While there isn't any target hardware doing it this way, it does allow us to develop for the latest versions of the Xilinx Spartan chip. I packed up the development station that we were loaned and will deliver it back this weekend with our thanks.
To participate in MEP FPGA design, then download the 11.1 Webpack ISE from Xilinx and update it. When you update it, there will be one DSP-related thing that you will not be able to include. Uncheck that box, and you will be able to update to 11.5 for free.
Here is a page of Xilinx ISE 11 tutorials.
http://www.xilinx.com/support/techsup/tutorials/tutorials11.htm
-=-=-=-=-=-=-=-=-=-
I decided to look around for other amateur radio FPGA projects and found some mention of FPGA in amateur radio in a TAPR newsletter and found a modem project by a ham, which was implemented using Xilinx FPGAs. Summary is below.
Ham Radio Systems On A Chip by Steve Bragg KA9MVA from TAPR Packet Status Register #102 located at http://www.tapr.org/psr/psr102.pdf
"...the latest field programmable gate arrays (FPGAs) pack enough digital hardware to be called SoCs in their own right. Falling prices, exponentially increasing gate counts, and low-cost design software are converging to make FPGAs the right technology for emerging ham radio systems-on-a-chip."
"A ham radio SoC includes signal processing 'blocks' for the most popular modes of ham radio: analog and digital voice, ATV/SSTV, packet, data and APRS®. Vocoders and decoders, such as that developed by G4GUO and G4JNT3 can be included. Audio processing (such as speech processing, VOX and stereo synthesis) can be in the SoC. Packet engines and TNCs should also be included.
An example of an FPGA-based TNC device is Nico Palermo, IV3NWV's YAM modem4, released in 1997. The TNC-like YAM was based on the Xilinx XC5200-series FPGA, the equivalent about 3,000 gates5. IV3NWV's clever coding crammed both 1200-bit/s AFSK and 9600-bit/s G3RUH modems into a small Xilinx FPGA. Today, the equivalent of the YAM, and much more besides, can be incorporated into a ham radio SoC."
The YAM (Yet Another Modem) Modem can be read about here:
http://sharon.esrac.ele.tue.nl/pub/packet/yam/
Three configuration files can be downloaded by email request. The "features" section discusses the details of the modem.
-=-=-=-=-=-=-=-=-=-
We walked through the short tutorial, which makes a simple counter and then provides a testbench waveform to wiggle bits, and found out something important.
The Xilinx ISE 10.1 free "webpack" doesn't target the FPGA in the XtremeDSP development kit. The free version tops out at the Virtex II version 400. Ours is a Virtex II version 3000.
Next step: Download the Xilinx ISE 11 free "webpack", and see if it has supported device limits. Version 11 doesn't' support the older Virtex II chip, but it can target the modern current FPGAs. I'll write more about what I find out in a bit.
-Michelle
http://www.livescribe.com/cgi-bin/WebObjects/LDApp.woa/wa/MLSOverviewPage?sid=fmnmFlw9JqXW
A GMAC is Giga Multiply-Accumulate Operations Per Second. This is somewhat similar to the measurement of "operations per second" for processors, and provides one way to compare and contrast circuitry that is capable of doing multiply-accumulates.
The thing that got my attention was what I sketched out on page 4, which is how many more MACs FPGAs are currently doing (with the Virtex and Spartan 6 series) when compared to "conventional" DSPs.
I'm reading a book on reconfigurable computing, which is an area of computing that has "settled" for using FPGAs. A "true" reconfigurable computer isn't exactly modeled or enabled by an FPGA, but since FPGAs are a commodity device, the field of reconfigurable computing seems to have coalesced around them. The book essentially echoes what the "sellinars" say. FPGAs are a "for real" DSP device.
What I got from this is that using FPGAs for MEP is not misguided. :+)
Anyone coming along with another development station? I've read through the short tutorial for using Xilinx ISE 10.1 and might actually be able to show off a "hello world" application sometime today.
-Michelle W5NYV
Potestatem obscuri lateris nescis.
You can get the ISE 10.1 software free from the Xilinx web site. The current version is 11, but a link in the upper right hand of the Downloads Entitlement Page reads "Looking to register 10.1 or earlier software products?"
If you click that, you can download ISE WebPACK 10.1 as a free download.
This, as best I can tell, supports the Virtex II. The current version of the ISE picks up at Virtex IV.
Here's a document from Xilinx that might be helpful in finding documentation about ISE 10.1.
http://www.xilinx.com/itp/xilinx10/books/manuals.pdf
Notice that you can do simulations with this software.
More soon,-Michelle W5NYV
Monday evening, March 15th is the date for this month's meeting of the San Diego Microwave Group.
Location is Kerry Banke's home at 6026 Poppy Street in La Mesa and starting time is 7:00 PM.
Kerry (N6IZW) announced the technical topic will be on Phase Lock Loop (PLL) Local Oscillator circuits and performance.
Bring your latest show and tell gear, and any unidentified microwave objects. We will see if anyone can help you figure out what they do. The meeting will start in Kerry's garage workshop where the test equipment is available.
Hope to see you there!!
73s from Ed Munn, W6OYJ
858-453-4563
remunn@earthlink.net
http://www.livescribe.com/cgi-bin/WebObjects/LDApp.woa/wa/MLSOverviewPage?sid=p11KKd4NvZFc
-Michelle W5NYV
Potestatem obscuri lateris nescis.
"At the 4 March 2010 SBMS meeting the "Tech Talk" will be Tony, KC6QHP talking about a wide range of
activities to support the construction of a 47 GHz radio. Including; EDM machining, making waveguide switches,
embedded microcontroller for TR switching, building a suitable tripod head, acquisition of hard to get parts (caps,
wire bonding wire, substrates, chips, epoxies, etc.) putting together circuits with MMICs, interfacing to and from
bare die MMICs, etc. The SBMS meets at the American Legion Hall 1024 Main Street (south of the 91 freeway) in
Corona, CA at 1900 hours local time on the first Thursday of each month. Check out the SBMS web site at
http://www.ham-radio.com/sbms/."
Link to this month's SBMS Newsletter here:
http://www.ham-radio.com/sbms/newsletters/2010nwsltrs/03sbms2010.pdf
-Michelle W5NYV
Potestatem obscuri lateris nescis.
(10:59:26 AM) Michelle: Hello!
(10:59:50 AM) Michelle: Anyone have Ekiga working on a Beagleboard?
(11:02:41 AM) johanbr [~j@JBrannlund2.MathStat.Dal.Ca] entered the room.
(11:17:44 AM) Snark: Abraxas3d, someone proposed patches recently for arm support, if I remember well
(11:19:33 AM) Snark: https://bugzilla.gnome.org/show_bug.cgi?id=611308
(11:19:46 AM) Snark: ^^^ that was that bug
(11:22:29 AM) Michelle: That looks promising - I'm still in the process of figuring out what is most likely causing Ekiga to crash. Is there any way I can help with getting Ekiga working on ARM?
(11:24:32 AM) Michelle: Do I take these attachments and add them to my source for ptlib and ekiga, to try them out?
(11:25:19 AM) Michelle: they look like scripts, to me.
(11:25:51 AM) Snark: they are patches
(11:26:03 AM) Snark: notice that they only add support for video
(11:26:15 AM) Snark: which would imply the rest is already working properly
(11:26:45 AM) ced117 [~ced117@AStrasbourg-157-1-11-114.w90-40.abo.wanadoo.fr] entered the room.
(11:35:17 AM) Michelle: Segmentation faults are all I seem to be getting so far. The beagleboard "ideas" website described Ekiga as "already compiled for the arm-7 on Angstrom (Angstrom being the OS). So The project would be to optimize it for the Beagle (and probably some debugging as Ekiga on beagle apparently is not that stable)." When I read this entry on the table of Beagleboard Ideas (from 2009), I took it to mean that a large part of or almost all of the work was probably done. The stability problems appear on beagleboard various forums - people that were able to get it running experience crashes and other setbacks.
(11:36:10 AM) Michelle: I'm optimistic it's well within striking range, if not already solved. I've just not had a lot of luck finding the magic recipe or thing I'm missing.
(11:36:19 AM) Michelle: the link to the bug report is very helpful!
(11:36:42 AM) Michelle: at the very least, encouraging.
(11:43:38 AM) Snark: if you can get traces, that would help find bugs
(11:44:10 AM) Snark: http://wiki.ekiga.org/index.php/Debugging_Ekiga
(11:44:16 AM) Snark: ^ explanations how to debug
(11:45:39 AM) Michelle: I do indeed have some traces, and have that link marked for reference. I don't want to waste anyone's time with traces that are due to trivial problems on my end, so I haven't submitted any quite yet.
(11:50:34 AM) Snark: ok
(11:50:48 AM) Snark: does the fact that parts of ekiga come as plugins help?
(11:53:13 AM) [L] [~iruan@dyn128-54-240-70.ucsd.edu] entered the room.
(11:53:35 AM) Michelle: I confess I don't know enough about plugins (other than the basic programming theory) in Ekiga to know if it helps or hurts. When installing, there is a directory of plugins, and they are populated. If there is a missing plugin, I would probably not be able to tell without being better oriented to using and installing plugins.
(11:54:45 AM) Michelle: I'll do my best to check, though.
(11:54:57 AM) Snark: which plugins are enabled?
(11:55:07 AM) Snark: they should all be optional things
(11:55:18 AM) Snark: so removing them to remove their problems could be an idea
(11:59:47 AM) Michelle: none currently installed in the release considered stable from Angstrom (3.2.0), and I'm still working through the rebuild-from-source for 3.2.6. I do remember seeing plugins on the last attempt, and will try your idea when it rebuilds. I appreciate the advice very much.
(12:02:11 PM) Snark: 3.2.0 had some crashes here and there, which have been fixed since